successive_addition_multiplier_8_bit.do